Детальная информация

Название: Разработка микропроцессорного ядра архитектуры RISC-V на языке SystemVerilog для встраиваемых систем: выпускная квалификационная работа бакалавра: направление 11.03.04 «Электроника и наноэлектроника» ; образовательная программа 11.03.04_03 «Интегральная электроника и наноэлектроника»
Авторы: Антропов Владислав Андреевич
Научный руководитель: Пятак Иван Михайлович
Организация: Санкт-Петербургский политехнический университет Петра Великого. Институт электроники и телекоммуникаций
Выходные сведения: Санкт-Петербург, 2023
Коллекция: Выпускные квалификационные работы; Общая коллекция
Тематика: микропроцессорное ядро; SystemVerilog HDL; RISC; RISC-V; архитектура; микроархитектура; интегральная схема; язык описания аппаратного уровня; статический временной анализ; предсказатель ветвлений; microcessor core; architecture; microarchitecture; integral circuit; hardware description language; static timing analysis; branch predictor
Тип документа: Выпускная квалификационная работа бакалавра
Тип файла: PDF
Язык: Русский
Уровень высшего образования: Бакалавриат
Код специальности ФГОС: 11.03.04
Группа специальностей ФГОС: 110000 - Электроника, радиотехника и системы связи
DOI: 10.18720/SPBPU/3/2023/vr/vr23-4737
Права доступа: Доступ по паролю из сети Интернет (чтение)
Ключ записи: ru\spstu\vkr\23735

Разрешенные действия:

Действие 'Прочитать' будет доступно, если вы выполните вход в систему или будете работать с сайтом на компьютере в другой сети

Группа: Анонимные пользователи

Сеть: Интернет

Аннотация

В ходе данной работы был рассмотрен путь разработки цифровой ИС, особое внимание уделено логическому синтезу и статическому временному анализу, при этом применялись методы поиска и анализа информации из различных источников. Были разработаны и синтезированы на ПЛИС три типа микроархитектур микропроцессорного ядра архитектуры RISC-V: однотактная, многотактная и конвейерная, при этом использовались средства автоматизированной разработки цифровых схем на ПЛИС. В ходе сравнения данных реализаций было принято решение использовать в дальнейшей работе конвейерное микропроцессорное ядро. Приведено сравнение разработанного конвейерного микропроцессорного ядра с другими реализациями. Предложены и реализованы варианты улучшения характеристик разработанного микропроцессорного ядра, которые позволили сократить разрыв с другими реализациями в частоте тактового сигнала на 47% и во времени выполнения тестовой программы на 52,5%.

In this work, the development path of a digital IC has been reviewed, focusing on logic synthesis and static timing analysis at that time we used several methods to search and analyze information from different sources. Three types of RISC-V architecture microprocessor core microarchitectures were developed and synthesized on FPGA: single-cycle, multi-cycle and pipeline at that time we used tools for computer-aided design of digital circuits on FPGAs. As a result of a comparison of these implementations it was decided to use pipeline microprocessor core in the further work. The developed pipeline microprocessor core is compared with other implementations. Variants of improvement of characteristics of the developed microprocessor core which have allowed to reduce a gap with other realizations in frequency of a clock signal on 47 % and in test program execution time on 52,5 % are offered and realized.

Права на использование объекта хранения

Место доступа Группа пользователей Действие
Локальная сеть ИБК СПбПУ Все Прочитать
Интернет Авторизованные пользователи СПбПУ Прочитать
-> Интернет Анонимные пользователи

Статистика использования

stat Количество обращений: 32
За последние 30 дней: 2
Подробная статистика